diff options
Diffstat (limited to 'users/tazjin/presentations/erlang-2016/src/hello1.erl')
-rw-r--r-- | users/tazjin/presentations/erlang-2016/src/hello1.erl | 5 |
1 files changed, 5 insertions, 0 deletions
diff --git a/users/tazjin/presentations/erlang-2016/src/hello1.erl b/users/tazjin/presentations/erlang-2016/src/hello1.erl new file mode 100644 index 000000000000..ca78261399e1 --- /dev/null +++ b/users/tazjin/presentations/erlang-2016/src/hello1.erl @@ -0,0 +1,5 @@ +-module(hello1). +-export([hello_joe/0]). + +hello_joe() -> + hello_joe. |