diff options
Diffstat (limited to 'tvix/eval/src/tests/tvix_tests/identity-bool-false.nix')
-rw-r--r-- | tvix/eval/src/tests/tvix_tests/identity-bool-false.nix | 1 |
1 files changed, 1 insertions, 0 deletions
diff --git a/tvix/eval/src/tests/tvix_tests/identity-bool-false.nix b/tvix/eval/src/tests/tvix_tests/identity-bool-false.nix new file mode 100644 index 000000000000..c508d5366f70 --- /dev/null +++ b/tvix/eval/src/tests/tvix_tests/identity-bool-false.nix @@ -0,0 +1 @@ +false |